2024

P149. A. Agiza, M. Neseem, S. Reda
MTLoRA: Low-Rank Adaptation Approach for Efficient Multi-Task Learning
IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

P148. M. Neseem, C. McCullough, R. Hsin, C. Leichner, S. Li, I. Chong, A. Howard, L. Lew, S. Reda, V. Rautio, D. Moro
PikeLPN: Mitigating Overlooked Inefficiencies of Low-Precision Neural Networks
IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).


2023

P147. M. Abdelatty, J. Incandela, K. Hu, J. Larkin. S. Reda, J. Rosenstein
Microscale 3-D Capacitance Tomography with a CMOS Sensor Array
IEEE Biomedical Circuits and Systems Conference (BioCAS).

P146. J. Ma and S. Reda
WeNet: Configurable Neural Network with Dynamic Weight-Enabling for Efficient Inference
ACM/IEEE International Symposium on Low Power Electronics and Design.

P145. A. Agiza, R. Roy, T. Ene, S. Godil, S. Reda and B. Catanzaro
GraPhSyM: Graph Physical Synthesis Model
Proceedings of the 42nd International Conference on Computer-Aided Design (ICCAD).

P144. A. Hosny, S. Reda
Automatic MILP Solver Configuration by Learning Problem Similarities
Annals of Operations Research.

P143. M. Neseem , A. Agiza, S. Reda
AdaMTL: Adaptive Input-dependent Inference for Efficient Multi-Task Learning
IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

P142. A. Agiza, K. Oakley, J. K. Rosenstein, B. M. Rubenstein, E. Kim, M. Riedel and S. Reda
Digital Circuits and Neural Networks based on Acid-Base Chemistry implemented by Robotic Fluid Handling
Nature Communications

P141. J. Ma and S. Reda
RUCA: RUntime Configurable Approximate Circuits with Self-Correcting Capability
IEEE Asia and South Pacific Design Automation Conference.


2022

P140. A. Hosny and S. Reda
Characterizing and Optimizing EDA Flows for the Cloud
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD).

P139. S. Chetoui, R. Shah, S. Abdelaziz, A. Golas, F. Hijaz and S. Reda
ARBench: Augmented Reality Benchmark For Mobile Devices
IEEE Symposium on Performance Analysis of Systems and Software (ISPASS)

P138. S. M. Nabavinejad, S. Reda and M. Ebrahimi
Coordinated Batching and DVFS for DNN Inference on GPU Accelerators
IEEE Transactions on Parallel and Distributed Systems

P137. S. Chetoui, M. Chen, A. Golas, F. Hijaz, A. Belouchrani and S. Reda
Alternating Blind Identification of Power Sources for Mobile SoCs
ACM/SPEC International Conference on Performance Engineering


2021

P136. S. M. Nabavinejad and S. Reda
BayesTuner: Leveraging Bayesian Optimization For DNN Inference Configuration Selection
IEEE Computer Architecture Letters

P135. A. Hosny, M. Neseem and S. Reda
Sparse Bitmap Compression for Memory-Efficient Training on the Edge
ACM/IEEE Symposium on Edge Computing (SEC), 2021.

P134. J. Ma and S. Reda
RUCA: RUntime Configurable Approximate Circuits with Self-Correcting Capability
International Workshop on Logic & Synthesis, 2021.

P133. M. Neseem and S. Reda
AdaCon: Adaptive Context-Aware Object Detection for Resource-Constrained Embedded Devices
ACM/IEEE International Conference on Computer-Aided Design, 2021 video

P132. S. Chetoui and S. Reda
CasCon: Cascaded Thermal And Electrical Current Throttling for Mobile Devices
Embedded Systems Letters, 2021

P131. Z. Yuan, P. Shukla, S. Chetoui, S. Nemtzow, S. Reda and A. Cosuk
PACT: An Extensible Parallel Thermal Simulator for Emerging Integration and Cooling Technologies
IEEE Transactions Computer-Aided Design, 2021.

P130. A. Dombroski, K. Oakley, C. Arcadia, F. Nouraei, S. L. Chen, C. Rose, B. Rubenstein, J. Rosenstein, S. Reda, E. Kim
Implementing Parallel Arithmetic via Acetylation and Its Application to Chemical Image Processing
Proceedings of the Royal Society A, 2021.

P129. C. Arcadia, A. Dombroski, K. Oakley, S. L. Chen, H Tann, C. Rose, E. Kim, S. Reda, B. M. Rubenstein and J. K. Rosenstein
Leveraging autocatalytic reactions for chemical- domain image classification
Journal of Chemical Science, Royal Society of Chemistry, 2021.

P128. S. Chetoui and S. Reda
Workload- and User-aware Battery Lifetime Management for Mobile SoCs
IEEE/ACM Design Automation Test in Europe (DATE)

P127. A. Hosny and S. Reda
Characterizing and Optimizing EDA Flows for the Cloud
IEEE/ACM Design Automation Test in Europe (DATE)

P126. S. M. Nabavinejad, S. Reda, M. Ebrahimi
BatchSizer: Power-Performance Trade-off for DNN Inference
IEEE Asian-South Pacific Design Automation Conference

P125. J. Ma, S. Hashemi and S. Reda
Approximate Logic Synthesis Using Boolean Matrix Factorization
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems


2020

P124. A. Agiza and S. Reda
OpenPhySyn: An Open-Source Physical Synthesis Optimization Toolkit
Workshop on Open-Source EDA Technology (WOSET)

P123. I. Scarabottolo, G. Ansaloni, G. Constantinides, L. Pozzi and S. Reda
Approximate Logic Synthesis: A Survey
Proc. of the IEEE

P122. X. Lian, S. Reda and J. Rosenstein
Simultaneous Estimation of Temperature and Voltage from Digital Delay Diversity
IEEE VLSI-SoC

P121. S. Dai, C. Tulloss, X. Lian, K. Hu, S. Reda and J. Rosenstein
Temperature and Supply Voltage Monitoring with Current-mode Relaxation Oscillators
IEEE VLSI-SoC
Best paper Candidate

P120. R. Azimi and S. Reda
PowerCoord: Power Capping Coordination for Multi-CPU/GPU Servers using Reinforcement Learning
ElSevier Sustainable Computing

P119. J. K. Rosenstein, C. Rose, S. Reda, P. M. Weber, E. Kim, J. Sello, J. Geiser, E. Kennedy, C. Arcadia, A. Dombroski, K. Oakley, S. L. Chen, H Tann, and B. M. Rubenstein
Principles of Information Storage in Small-Molecule Mixtures
IEEE Transactions on NanoBioScience

P118. S. Chetoui and S. Reda
Coordinated Self-Tuning Thermal Management Controller for Mobile Devices
IEEE Design & Test

P117. M. Neseem, J. Nelson and S. Reda
AdaSense: Adaptive Low-Power Sensing and Activity Recognition for Wearable Devices
IEEE/ACM Design Automation Conference video

P116. S. M. Nabavinejad, L. Mashayekhy and S. Reda
ApproxDNN: Incentivizing DNN Approximation in Cloud
IEEE/ACM CCGrid

P115. K. Rajan, S. Hashemi, U. Karpuzcu, M. Doggett and S. Reda
Dual-precision fixed-point arithmetic for low-power ray-triangle intersections
ElSevier Computer & Graphics

P114. C. Arcadia, E. Kennedy, J. Geiser, A. Dombroski, K. Oakley, S. L. Chen, L. Sprague, M. Ozmen, J. Sello, P. Weber, S. Reda, C. Rose, E. Kim, B. Rubenstein, and J. Rosenstein
Multicomponent Molecular Memory
Nature Communications

P114. Z. Yuan, G. Vaartstra, P. Shukla, Z. Lu, E. Wang, S. Reda and A. Coskun
A Learning-Based Thermal Simulation Framework for Emerging Two-Phase Cooling Technologies
IEEE/ACM Design Automation Test in Europe
Best paper Candidate

P113. A. Hosny, S. Hashemi, M. Shalan and S. Reda
DRiLLS: Deep Reinforcement Learning for Logic Synthesis
IEEE Asia and South Pacific Design Automation Conference


2019

P112. J. Ma, S. Hashemi and S. Reda
Approximate Logic Synthesis Using BLASYS
Workshop on Open-Source EDA Technology

P111. S. M. Nabavinejad and S. Reda
Coordinated DVFS and Precision Control for Deep Neural Networks
IEEE Computer Architecture Letters

P110. H. Tann, H. Zhao and S. Reda
A Resource-Efficient Embedded Iris Recognition System Using Fully Convolutional Networks
ACM Journal on Emerging Computing Technologies

P109. Z. Yuan, P. Shukla, G. Vaartstra, E. Wang, S. Reda and A. Coskun
Modeling and Optimization of Chip Cooling with Two-Phase Vapor Chambers
IEEE International Symposium on Low-Power Electronics & Design

P108. T. Ajayi, V. A. Chhabria, M. Fogaca, S. Hashemi, C. Holehouse, A. Hosny, A. B. Kahng, M. Kim, J. Lee, U. Mallappa, M. Neseem, G. Pradipta, S. Reda, M. Saligane, S. S. Sapatnekar, C. Sechen, M. Shalan, W. Swartz, L. Wang, Z. Wang, M. Woo and B. Xu
Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project
IEEE/ACM Design Automation Conference

P107. Z. Yuan, G. Vaartstra, P. Shukla, M. Said, S. Reda, E. Wang and A. Coskun
Two Phase Cooling with Micropillar Evaporators: A New Approach to Remove Heat from Future High Performance Chips
IEEE iTherm

P106. F. Kaplan, M. Said, S. Reda and A. Coskun
LoCool: Fighting Hot Spots Locally for Improving System Energy Efficiency
IEEE Transactions on Computer-Aided Design

P105. S. Hashemi and S. Reda
Generalized Matrix Factorization Techniques for Approximate Logic Synthesis
ACM/IEEE Design Automation and Test in Europe

P104. S. Reda and M. Shafique
Approximate Circuits: Methodologies and CAD
Springer Publishers


2018

P103. M. Said, S. Chetoui, A. Belouchrani and S. Reda
Understanding the sources of power consumption in Mobile SoCs
IEEE International Green and Sustainable Computing Conference

P102. R. Azimi, C. Jing and S. Reda
PowerCoord: A Coordinated Power Capping Controller for Multi-CPU/GPU Servers
IEEE International Green and Sustainable Computing Conference
Best Paper Award

P101. C. Arcadia, H. Tann, A. Dombroski, K. Ferguson, S. L. Chen, E. Kim, B. Rubenstein, C. Rose, S. Reda and J. Rosenstein
Parallelized Linear Classification with Volumetric Chemical Perceptrons
IEEE Conference on Rebooting Computer

P100. R. Azimi, T. Fox, W. Gonzalez and S. Reda
Scale-out vs Scale-up: A Study of ARM-based SoCs on Server-class workloads
ACM Transactions on Modeling and Performance Evaluation of Computing Systems

P99. C. Rose, S. Reda, B. Rubenstein and J. Rosenstein
Computing With Chemicals: Perceptrons Using Mixtures of Small Molecules
IEEE International Symposium on Information Theory

P98. S. Hashemi, H. Tann and S. Reda
BLASYS: Approximate Logic Circuit Synthesis Using Boolean Matrix Factorization
IEEE/ACM Design Automation Conference

P97. H. Tann, S. Hashemi and S. Reda
Flexible Deep Neural Network Processing
arXiv Technical Report 1801.07353

P96. S. Hashemi, H. Tann, F. Buttafuoco and S. Reda
Approximate Computing for Biometric Security Systems: A Case Study on Iris Scanning
IEEE Design, Automation Test in Europe

P95. S. M. Nabavinejad, X. Zhan, R. Azimi, M Goudarzi, and S. Reda
QoR-Aware Power Capping for Approximate Big Data Processing
IEEE Design, Automation Test in Europe

P94. S. Reda, K. Dev and A. Belouchrani
Blind Identification of Thermal Models and Power Sources from Thermal Measurements
IEEE Journal on Sensors


2017

P93. K. Dev, X. Zhan and S. Reda
Scheduling on CPU+GPU Processors under Dynamic Conditions
Journal on Low-Power Electronics (https://scale.engin.brown.edu/pdfs/JOLPE), American Scientific Publishers

P92. S. Steffl and S. Reda
LACore: A Supercomputing-Like Linear Algebra Accelerator for SoC-Based Designs
IEEE Conference on Computer Design

P91. S. Reda
3D Integration Advances Computing
Nature

P90. R. Azimi, T. Fox and S. Reda
Understanding the Role of GPGPU-accelerated SoC-based ARM Clusters
IEEE Cluster

P89. M. Shalan and S. Reda
CloudV: A Cloud-Based Educational Digital Design Environment
IEEE International Conference on Microelectronic Systems Education (https://scale.engin.brown.edu/pdfs/MSE)

P88. F. Kaplan, S. Reda and A. Coskun
Fast Thermal Modeling of Liquid, Thermoelectric, and Hybrid Cooling
IEEE The Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems

P87. H. Tann, S. Hashemi, R. I. Bahar and S. Reda
Hardware-Software Codesign of Highly Accurate, Multiplier-free Deep Neural Networks
IEEE/ACM Design Automation Conference

P86. S. Reda and A. Belouchrani
Blind Identification of Power Sources in Processors
IEEE/ACM Design, Automation & Test in Europe

P85. DATE S. Hashemi, N. Anthony, H. Tann, R. I. Bahar and S. Reda
Understanding the Impact of Precision Quantization on the Accuracy and Energy of Neural Networks
IEEE/ACM Design, Automation and Test in Europe

P84. R. Azimi, M. Badiei, L. Na and S. Reda
Fast Decentralized Power Capping for Server Clusters
IEEE Symposium on High-Performance Computer Architecture


2016

P83. K. Dev and S. Reda
Scheduling Challenges and Opportunities in Integrated CPU+GPU Processors
ACM/IEEE Symposium on Emedded Systems for Real-time Media

P82. K. Dev, X. Zhan and S. Reda
Power-Aware Characterization and Mapping of Workloads on CPU-GPU Processors
IEEE International Symposium on Workload Characterization

P81. K. Nepal, S. Hashemi, C. Tann, R. I. Bahar and S. Reda
Automated High-Level Generation of Low-Power Approximate Computing Circuits
IEEE Transactions on Emerging Topics in Computing

P80. C. Tann, S. Hashemi, R. I. Bahar and S. Reda
Runtime Configurable Deep Neural Networks for Energy-Accuracy Trade-off
IEEE International Conference on Hardware/Software Codesign and System Synthesis

P79. O. Ulusel, C. Picardo, C. Harris, S. Reda and R. I. Bahar
Hardware Acceleration of Feature Detection and Description Algorithms on Low-Power Embedded Platforms
IEEE Field Programmable Logic

P78. K. Dev, S. Reda, I. Paul, W. Huang and W. Burleson
Workload-aware Power Gating Design and Run-time Management for Massively Parallel GPGPUs
IEEE Symposium on Very-Large Scale Integration

P77. X. Zhan, R. Azimi, S. Kanev, D. Brooks and S. Reda
CARB: A C-State Power Management Arbiter For Latency-Critical Workloads
IEEE Computer Architecture Letters

P76. S. Hashemi, R. I. Bahar and S. Reda
A Low-Power Dynamic Divider for Approximate Applications
IEEE/ACM Design Automation Conference

P75. X. Zin, M. Shoaib and S. Reda
Creating Soft Heterogeneity in Clusters Through Firmware Re-configuration
IEEE Cluster, Cloud and Grid Computing

P74. M. Badiei, X. Zhan, R. Azimi, S. Reda and N. Li
DiBA: Distributed Power Budget Allocation for Large-Scale Computing Clusters
IEEE Cluster, Cloud and Grid Computing


2015

P73. R. Azimi, X. Zhan and S. Reda
How Good Are Low-Power 64-bit SoCs for Server-Class Workloads?
IEEE International Symposium on Workload Characterization

P72. S. Hashemi, R. I. Bahar and S. Reda
DRUM: A Dynamic Range Unbiased Multiplier for Approximate Applications
ACM/IEEE International Conference on Computer-Aided Design
Best Paper Candidate.

P71. S. Jayakumar, S. Reda
Making Sense of Thermoelectrics for Processor Thermal Management and Energy Harvesting
ACM/IEEE International Symposium on Low-Power Electronics and Design

P70. X. Zhan and S. Reda
Power Budgeting Techniques for Datacenters
IEEE Transactions on Computers


2014

P69. A. N. Nowroz, K. Hu, F. Koushanfar, S. Reda
Novel Techniques for High-Sensitivity Hardware Trojan Detection using Thermal and Power Maps
IEEE Transactions on Computer-Aided Design

P68. Azimi, X. Zhan and S. Reda
Thermal-Aware Layout Planning for Heterogeneous Datacenters
IEEE International Symposium on Low-Power Electronics and Design

P67. O. Ulusel, K. Nepal, R. I. Bahar and S. Reda
Fast Design Exploration for Performance, Power and Accuracy Tradeoffs in FPGA-Based Accelerators
in ACM Transactions on Reconfigurable Technology and Systems

P66. K. Nepal, Y. Li, R. I. Bahar and S. Reda
ABACUS: A Technique for Automated Behavioral Synthesis of Approximate Computing Circuits
Design, Automation and Test in Europe


2013

P65. K. Dev, A. N. Nowroz and S. Reda
Power Mapping and Modeling of Multi-core Processors
IEEE International Symposium on Low-Power Electronics and Design

P64. C. Hankendi S. Reda, A. Coskun
vCap: Adaptive Power Capping for Virtualized Servers
IEEE International Symposium on Low-Power Electronics and Design

P63. X. Zhan and S. Reda
Techniques for Energy-Efficient Power Budgeting in Data Centers
Design Automation Conference

P62. K. Dev, G. Woods and S. Reda
High-Throughput TSV Testing and Characterization for 3D Integration Using Thermal Mapping
Design Automation Conference

P61. F. Paterna and S. Reda
Mitigating Dark Silicon Problems Using Superlattice-based Thermoelectric Coolers
Design, Automation and Test in Europe

P60. K. Hu, A. Nowroz, S. Reda and F. Koushanfar
High-Sensitivity Hardware Trojan Detection Using Multimodal Characterization Power Mapping of Integrated Circuits Using AC-based Thermography
Design, Automation and Test in Europe

P59. A. N. Nowroz, G. Woods and S. Reda
Power Mapping of Integrated Circuits Using AC-based Thermography
IEEE Transactions on VLSI

P58. S. Reda, A. N. Nowroz, R. Cochran, S. Angelevski
Post-Silicon Power Mapping Techniques for Integrated Circuits
ElSevier VLSI Integration Journal


2012

P57. R. Cochran and S. Reda
Thermal Prediction and Adaptive Control Through Workload Phase Detection
ACM Transactions on Design Automation of Eletronic Systems

P56. S. Reda, R. Cochran, and A. Coskun
Adaptive Power Capping for Servers with Multi-­threaded Workloads
IEEE Micro Journal

P55. S. Reda and A. N. Nowroz
Power Modeling and Characterization of Computing Devices: A Survey
Foundations and Trends in Electronic Design Automation Journal

P54. K. Nepal, O. Ulusul, R. I. Bahar and S. Reda
Fast Multi-Objective Algorithmic-Design Co-Exploration for FPGA-based Accelerators
IEEE International Symposium on Field-Programmable Custom Computing Machines


2011

P53. R. Cochran, C. Hankendi, A. Coskun and S. Reda
Pack & Cap: Adaptive DVFS and Thread Packing Under Power Caps
ACM/IEEE International Symposium on Microarchitecture

P52. R. Cochran, C. Hankendi, A. Coskun and S. Reda
Identifying the Optimal Energy-Efficient Operating Points of Parallel Workloads
ACM/IEEE International Conference on Computer-Aided Design

P51. S. Reda
Thermal and Power Characterization of Real Computing Devices
IEEE Journal on Emerging Topics in Circuits and Systems

P50. A. N. Nowroz, G. Woods and S. Reda
Improved Post-Silicon power Modeling Using AC Lock-In Techniques
ACM/IEEE Design Automation Conference

P49. S. Reda, R. Cochran, and A. N. Nowroz
Improved Thermal Tracking for Processors Using Hard and Soft Sensor Allocation Techniques
IEEE Transactions on Computers

P48. A. N. Nowroz and S. Reda
Thermal and Power Characterization of Field-Programmable Gate Arrays
ACM International Symposium on Field Programmable Gate Arrays


2010

P47. N. H. Khan, S. Reda and S. Hassoun
Early Estimation of TSV Area for Power Delivery in 3D Integrated Circuits
IEEE International 3D Systems Integration Conference

P46. R. Cochran, A. N. Nowroz and S. Reda
Post-Silicon Power Characterization Using Thermal Infrared Emissions
International Symposium on Low-Power Electronics and Design
Best Paper Award

P45. S. Reda and S. Nassif
Accurate Spatial Estimation and Decomposition Techniques for Variability Characterization
IEEE Transactions on Semiconductor Manufacturing

P44. A. N. Nowroz, R. Cochran and S. Reda
Thermal Monitoring of Real Processors: Techniques for Sensor Allocation and Full Characterization
Design Automation Conference

P43. R. Cochran and S. Reda
Consistent Runtime Thermal Prediction and Control Through Workload Phase Detection
Design Automation Conference


2009

P42. S. Reda, A. Si and R. I. Bahar
Reducing the Leakage and Timing Variability of 2D ICs Using 3D ICs
International Symposium on Low Power Electronics and Design

P41. S. Reda
Using Circuit Structural Analysis Techniques for Networks in Systems Biology
System Level Interconnect Prediction (https://scale.engin.brown.edu/pdfs/SLIP)

P40. R. Cochran and S. Reda
Spectral Techniques for High-Resolution Thermal Characterization with Limited Sensor Data
Design Automation Conference (https://scale.engin.brown.edu/pdfs/DAC)

P39. R. Le, S. Reda and R. I. Bahar
High-Performance, Cost-Effective Heterogeneous 3D FPGA Architectures
Great Lakes VLSI Symposium

P38. M. Kadin, S. Reda and G. Uht
Central vs. Distributed Dynamic Thermal Management for Multi-Core Processors: Which one is better?
Great Lakes VLSI Symposium

P37. S. Reda and S. Nassif
Analyzing the Impact of Process Variations on Parametric Measurements: Novel Models and Applications
Design, Automation, Test in Europe

P36. S. Reda, G. Smith and L. Smith
Maximizing the Functional Yield of Wafer-to-Wafer 3D Integration
IEEE Transactions on Very Large Scale Integration Systems


2008

P35. C. Ferri, S. Reda and R. I. Bahar
Parametric Yield Management for 3D ICs: Models and Strategies for Improvement
ACM Journal on Emerging Technologies in Computing Systems

P34. M. Kadin and S. Reda
Frequency and Voltage Planning for Multi-Core Processors Under Thermal Constraints
International Conference on Computer Design

P33. M. Kadin and S. Reda
Frequency Planning for Multi-Core Processors Under Thermal Constraints
International Symposium on Low Power Electronics and Design

P32. B. Hargreaves, H. Hult and S. Reda
Within-die Process Variations: How Accurately can They Be Statistically Modeled?
Proc. Asia-Pacific Design Automation Conference
Best Paper Candidate.


2007

P31. D. Meisner and S. Reda
Hardware Libraries: An Architecture for Economic Acceleration in Soft Multi-Core Environments
Proc. International Conference on Computer Design

P30. C. Ferri, S. Reda and R. I. Bahar
Strategies for Improving the Parametric Yield and Profits of 3D ICs
Proc. International Conference on Computer-Aided Design


2001-2006

For papers P1-P29, Please check Prof. S. Reda’s CV